Industry White Paper

Latest Innovations in High-Level Synthesis, Verifications, and RTL Low-Power

Whitepaper Overview 

Catapult® High-Level Synthesis (HLS) is a platform that enables users to work with industry-standard languages to describe functional intent and move up to a more productive abstraction level.

Catapult’s® advanced power optimizations automatically provide significant reductions in dynamic power consumption. The highly-interactive Catapult® workflow provides full visibility and control of the synthesis process, enabling designers to rapidly converge upon the best implementation for power, performance, and area.

Having a complete low-power methodology from block to SoC (whether starting from C or RTL with PowerPro) is critical for emerging ultra-low-power sensitive markets. In this whitepaper by Mentor, a Siemens Business, find resources detailing the recent innovations in HLS, Verification, and RTL Lower-Power, and learn more about the benefits of the Catapult® HLS platform.

Read White Paper:

Already an AAC member? Please Click Here to login.

Fields containing * are required