News

Flexible Processors? Arm and PragmatIC Push Flexible Electronics Up A Level

July 27, 2021 by Jake Hertz

Flexible electronics have been a dream for many researchers; however, could the creation of a flexible processor be the key to pushing this technology to the next level?

As fields like wearable electronics become more and more popularized, companies and consumers alike dream of a future full of flexible electronics. A hot research field with continual investment and growth, flexible electronics seems likely to be a part of our future and not just another technology fad. 

 

Example of materials used in flexible electronics.

Example of materials used in flexible electronics. Image used courtesy of Chae et al

 

While there is constant progress being made in the field, it’s not every day that substantial developments get made. However, last week, one of those breakthroughs happened. Arm, along with PragmatIC, announced their development of a natively flexible 32-bit processor

This article will cover the announcement, how it works, and what it could mean for the future. 

 

Flexible Electronic's Setbacks

Flexible electronics are a recently popular field, with applications from wearables to medical implants being imagined as applications in this space. Despite its popularity, there have been roadblocks, largely in material science and fabrication technology, that have hindered its development. 

Historically, many of the efforts towards flexible electronics have been based around thin-film transistors (TFTs). To this end, researchers have explored building electronics out of 2D materials: materials with a single atom thickness, making them flexible and bendable while maintaining excellent mechanical and electrical properties. Another approach has been to integrate silicon-based electronics onto flexible substrates, known as hybrid integration. 

Arm researchers claim that the main reason why no viable, flexible microprocessor exists yet is the integration of a large amount of TFTs on a flexible substrate needed to perform any meaningful computation. This integration has not previously been possible with the emerging flexible TFT technology.

With this setback in mind, how did Arm and PragmatIC create this flexible processor? 

 

How Was It Done? 

According to the paper published in Nature, Arm researchers developed the microprocessor natively using flexible electronic fabrication techniques. 

 

IGZO TFTs fabricated on a plastic substrate.

IGZO TFTs fabricated on a plastic substrate. Image used courtesy of Hideya Kumomi and Hideo Hosono

 

Specifically, the PlasticARM IC was fabricated using FlexLogIC, a unique fabrication process for n-type metal-oxide TFTs. For this application, in particular, the process was based on indium−gallium−zinc oxide (IGZO), a thin film transistor technology that has been used in flexible displays for over a decade. The IGZO TFTs circuits were made using conventional semiconductor processing equipment; however, unconventionally, the researchers produced the devices on a flexible polyimide substrate with a thickness of fewer than 30 μm. 

This process was heavily dependent on thin-film material deposition, patterning, and etching, which, according to the researchers, was achieved through a combination of physical vapor deposition, atomic layer deposition, and solution-processing. 

Now that a brief look at what research went into IGZO TFTs has been covered let's dive into PlasticARM. 

 

A Look at PlasticARM 

On a high level, let's start by looking at what Arm was able to accomplish.

 

A look at the layout of PlasticArm.

A look at the layout of PlasticArm. Image used courtesy of Biggs et al

 

The IC itself is an SoC that consists of a 32-bit microprocessor based on a Cortex-MO CPU, 456 bytes of ROM, and 128 bytes of RAM. In an area of 59.2 mm2, the IC packs 56,430 devices (all either n-type TFTs or resistors), which equates to 19,334 NAND gates. 

While these numbers may not sound all that impressive when judged on a conventional scale, Arm claims that it integrates 12x as much logic as the previous best flexible IC. 

Made on an 0.8-μm metal-oxide TFT technology, the SoC can be clocked up to 29 kHz, consuming a max of 21 mW, >99% of which is static power consumption. 

This innovation, though it might not seem like much initially, could have lasting implications. 

 

Moving Forward

Still just a proof of concept, and underwhelming in performance compared to conventional semiconductor electronics, this feat by Arm is nonetheless impressive. 

While PlasticArm might not be directly seen in the industry anytime soon, it is necessary to be optimistic that it could serve as a stepping stone for the development of future flexible electronics.

 


 

Interested in past flexible electronic advancements? Read more in the articles down below.

Developing Bendable and Entirely Flexible Electronics with A New Class of Films

The Air Force Bets on a Bright Future for Flexible Hybrid Electronic

Potential Advances in Flexible Electronics Could Now Be Looming Thanks to Penn State Research