PS2 Host Controller

PS2 Host Controller

Details

Category: Communication Controller

Created: December 16, 2011

Updated: January 27, 2020

Language: Verilog

Other project properties

Development Status: Beta

Additional info: FPGA proven

WishBone compliant: No

WishBone version: n/a

License: LGPL

Description

This core aims at implementing host side of IBM PS/2 keyboard and mouse communication protocol.

To run testbench:
%> iverilog -DSYS_CLOCK_HZ=100000 -o ps2_host_testbench ps2_host_testbench.v
%> vvp ps2_host_testbench -lxt2
%> gtkwave ps2_host_testbench.lxt